范文健康探索娱乐情感热点
投稿投诉
热点动态
科技财经
情感日志
励志美文
娱乐时尚
游戏搞笑
探索旅游
历史星座
健康养生
美丽育儿
范文作文
教案论文

中国半导体设备行业深度研究报告

  温馨提示:如需原文档,可在PC端登陆未来智库官网(www.vzkoo.com)搜索下载本文档。
  概要:
  ◆设备简介:技术高、进步快、种类多、价值大。半导体行业技术高、 进步快,一代产品需要一代工艺,而一代工艺需要一代设备。IC 制造设 备主要分为光刻机、刻蚀机、薄膜设备、扩散\离子注入设备、湿法设备、 买入(首次) 过程检测等六大类,其中光刻机约占总体设备销售额的 18%,刻蚀机约占20%,薄膜设备约占 20%。
  ◆市场规模:2020 全球预计超700亿美元,中国大陆约占 20%。SEMI 预计 2020 年半导体设备市场将增长 20.7%,达到719亿美元,创历史新 高。2017 年中国大陆市场需求规模约占全球的 15%左右,2020 年预计 占比将达到20%,约 170 亿美元。
  ◆竞争格局:从总体到局部,市场集中度高。半导体设备市场集中度高,主要有美日荷厂商垄断。总体上看,半导体设备市场CR10超 60%,前 五名分别为应用材料、拉姆研究、东京电子、阿斯麦和科磊半导体;局部 上看,每一大类设备市场均呈现寡头竞争格局,前两名厂商占据一半以上 的市场份额。
  ◆国产化情况:国产自给率低,技术加速追赶。根据中国电子专用设备 工业协会数据,预计 2018年国产泛半导体设备销售额约 109 亿元,但真 正的 IC 设备国内市场自给率仅有5%左右,国产替代空间巨大。在 02 专 项的统筹规划下,国内半导体厂商分工合作研发不同设备,涵盖了主要设 备种类。国内厂商仍处于技术追赶期,但随着摩尔定律趋近极限,技术进 步放缓,国内厂商与全球龙头技术差距正在逐渐缩短,我们认为未来 3-5 年将是半导体设备国产替代黄金战略机遇期。
  报告内容:1、概览篇:全球垄断,02专项顶层设计求突破
  1.1、设备简介:技术高、进步快、种类多、价值大
  半导体行业技术高、进步快,一代产品需要一代工艺,而一代工艺需要一代 设备。半导体产业技术进步主要有两大方向:一是制程越小→晶体管越小→ 相同面积上的元件数越多→性能越高→产品越好;二是硅片直径越大→硅片 面积越大→单个晶圆上芯片数量越多→效率越高→成本越低。
  半导体工艺流程主要包括单晶硅片制造、IC 设计、IC 制造和 IC 封测。单晶 硅片制造需要单晶炉等设备,IC 制造需要光刻机、刻蚀机、薄膜设备、扩散 \离子注入设备、湿法设备、过程检测等六大类设备。半导体设备中,晶圆代 工厂设备采购额约占 80%,检测设备约占 8%,封装设备约占7%,硅片厂 设备等其他约占 5%。
  一般情况下,不同的晶圆尺寸和制程的 IC 制造产线所需的设备数量不同。 以每 1 万片/月产能计算,12 寸产线所需的设备数量要比 8 寸产线多,12 寸 先进制程产线所需的设备数量要比 12 寸成熟制程产线设备多。
  半导体设备属于高端制造装备,其价值量较高。比如高端 EUV 光刻机单价 甚至超过 1 亿美金。总体上看,IC 制造设备市场中刻蚀机、光刻机、薄膜设 备的价值量占比较高。
  1.2、市场规模:2020 全球预计超 700 亿美元,中国大陆占比超 20%
  2020年全球半导体设备市场规模预计超 700 亿美元。根据 2018 年 12 月 12 日 SEMI 在 SEMICON Japan 2018 展览会上发布年终预测报告显示,2018 年新的半导体制造设备的全球销售额预计将增加 9.7%达到 621 亿美元,超 过 2017年创下的 566 亿美元的历史新高。预计 2019 年设备市场将收缩4.0%至 596 亿美元,但 2020 年将增长20.7%,达到 719 亿美元,创历史新高。2020 年中国大陆市场规模占比超 20%,约170亿美元。根据 SEMI 数据, 2017 年中国大陆半导体设备销售额82.3亿美元,同比增长 27%,约占全球 的 15%,预计 2020 年占比将超过 20%,约 170 亿美元。
  1.3、竞争格局:从总体到局部,市场集中度高
  半导体设备市场集中度高,CR10 超 60%。全球半导体设备生产企业主要集 中于欧美、日本、韩国和我国台湾地区等,以美国应用材料、荷兰阿斯麦、 美国泛林集团、日本东京电子、美国科天等为代表的国际知名企业起步较早, 经过多年发展,凭借资金、技术、客户资源、品牌等方面的优势,占据了全 球集成电路装备市场的主要份额。
  1.4、国产化情况:国产设备自给率低,技术加速追赶
  国产设备自给率低,进口替代空间大。供给端看,根据中国电子专用设备工 业协会对国内 42 家主要半导体设备制造商的统计,2017 年国产半导体设备 销售额为 89 亿元,自给率约为 14.3%。中国电子专用设备工业协会统计的 数据包括 LED、显示、光伏等设备,我们认为实际上国内集成电路 IC 设备 国内市场自给率仅有 5%左右,在全球市场仅占1-2%。
  02 专项顶层设计,技术加速追赶。2002 年之前,我国集成电路设备基本全 进口,中国只有 3 家集成电路设备厂商,由北方微电子、北京中科信和上海 微电子分别承接国家"863"计划中的刻蚀机、离子注入机和光刻机项目。2006年,《国家中长期科学和技术发展规划纲要(2006-2020 年)》设立 国家科技重大专项——极大规模集成电路制造装备及成套工艺科技项目(简 称 02 专项)研发国产化设备,并于 2008 年开始实施。2008 年之前我国12英寸国产设备为空白,只有 2 种 8 英寸设备。
  在 02 专项的统筹规划下,国内半导体厂商分工合作研发不同设备,涵盖了 主要设备种类。目前已有 20 种芯片制造关键装备、17 种先进封装设备,通 过大生产线验证进入海内外销售。
  国内 IC 制造设备工艺覆盖率仍比较低,国产厂商技术加速追赶。国产全部 IC 设备在逻辑 IC 产线上 65/55nm 工艺覆盖率才31%,40nm 工艺覆盖率仅17%,28nm 工艺覆盖率仅16%;在存储芯片产线上的工艺覆盖率大概约为15-25%。随着摩尔定律放缓,国产厂商技术加速追赶。以北方华创刻蚀机 为例,2007 年研发出 8 寸 100nm 设备,比国际大厂晚 8 年;2011 年研发 出 12 寸 65nm 设备,比国际大厂晚6年;2013 年研发出 12 寸 28nm 设备, 比国际大厂晚 3~4 年;2016 年研发 12 寸 14nm 设备,比国际大厂晚2~3年。
  2、设备篇:大国重器,均呈现寡头竞争格局
  2.1、硅片制造设备
  2.1.1、硅片制造难度大,设备种类多
  硅片是半导体、光伏电池生产的主要原材料,90%以上的集成电路都是制作 在高纯、优质的硅片上的。(1)半导体硅片的制造难度大于光伏硅片。半 导体硅片纯度要求达到 99.99999999999%,即 11 个 9 以上,而普通太阳能 级多晶硅材料纯度通常在 5-8 个 9 左右。(2)硅片直径越大制造难度越大。 硅片制备工艺流程包括:单晶生长→截断→外径滚磨(定位槽或参考面处理) →切片→倒角→表面磨削→(刻蚀)→边缘抛光→双面抛光→单面抛光→最终 清洗→(外延/退火)→包装等。
  硅片直径的增大可降低单个芯片的制造成本,目前 300mm 硅片已成为业内主流,2017 年全球12寸出货面积约占硅片总体的 66.1%。
  硅片制造过程中涉及到单晶炉、滚磨机、切片机、倒角机、研磨设备、CMP 抛光设备、清洗设备、检测设备等多种生产设备。其中单晶炉、抛光机、测 试设备是主要设备,分别约占硅片厂设备投资的25%、25%、20%。日本在 硅片制备设备产业中占有相对优势,其产品覆盖了硅片制造的全套设备。
  单晶生长分为直拉(CZ)法和区熔(FZ)法。目前 90%以上硅片采用直拉 法(CZ)生产,区熔法(FZ)制备的硅片主要用于功率半导体、光敏二极 管、红外探测器等领域。
  2.1.2、硅片国产化推动硅片制造设备国产化
  过去:受市场需求不足的影响,产业化推进较为缓慢。我国的硅片制备设备 经过了 30多年的发展,已可提供直径 200mm 以下的硅片制备设备,但受 市场需求量较少和国外二手设备的冲击,国产设备发展的门类并不齐全。在 300mm 硅片制备设备的发展上,国内研发了单晶炉、多线切割机等几种关 键设备,也通过了 300mm 硅片生产试验线的验证。但与国外设备相比,受 市场需求不足的影响,产业化推进较为缓慢,同时也影响了设备技术的进步。
  现在:政策需求双轮驱动,大硅片国产化指日可待。根据IC Insights 2017 数据,2017 年全球硅片需求 1160 万片(等效 8 寸),国内需求 110 万片。 预计2020年国内对 12 寸大硅片需求从 42 万片增加到 105 万片;2020 年 对 8 寸硅片需求从 70 万片增加到 96.5 万片。受政策鼓励与市场需求的双重 驱动,多家企业正在中国积极布局半导体大硅片项目。国内规划中的 12 寸 大硅片合计:145 万片,覆盖国内需求。国内规划中的 8 寸大硅片合计:168 万片,总投资规模超过 500 亿元,覆盖国内需求。
  硅片设备产业化推进加快,国产厂商迎来发展良机。单晶炉方面,晶盛机电 承担的 02 专项"300mm 硅单晶直拉生长设备的开发"、"8 英寸区熔硅单 晶炉国产设备研制"两大项目均已通过专家组验收,8 寸直拉单晶炉和区熔 单晶炉均已实现产业化,客户包括有研半导体、环欧半导体、金瑞泓等;12 寸直拉单晶炉产业化推进中,未来有望为国内大硅片项目供货。南京晶能 12 寸直拉单晶炉已进入新昇半导体大硅片产线。
  2.2、晶圆制造设备——光刻机
  2.2.1、光刻机发展历史
  在集成电路制造工艺中,光刻是决定集成电路集成度的核心工序,该工序的 作用是将电路图形信息从掩模版上保真传输、转印到半导体材料衬底上。光 刻工艺的基本原理是,利用涂敷在衬底表面的光刻胶的光化学反应作用,记 录掩模版上的电路图形,从而实现将集成电路图形从设计转印到衬底的目的。
  光刻机分为无掩模光刻机和有掩模光刻机两大类。无掩模光刻机又称直写光 刻机,按照所采用的辐射源的不同可分为电子束直写光刻机、离子束直写光 刻机、激光直写光刻机,分别用于不同的特定应用领域。例如,电子束直写 光刻机主要用于高分辨率掩模版、集成电路原型验证芯片的制造,以及特种 器件的小批量制造;激光直写光刻机主要用于特定的小批量芯片的制造。
  有掩模光刻机又分为接触/接近式光刻机和投影式光刻机。接触式光刻出现于20世纪 60 年代,是小规模集成电路(SSI)时代的主要光刻手段,主要用 于生产制程在 5μm 以上的集成电路。接近式光刻机于20世纪 70 年代在小 规模集成电路与中规模集成电路(MSI)时代早期被广泛应用,主要用于生 产制程在 3μm 以上的集成电路。目前接触\接近式光刻机的国外生产商主要 有德国的苏斯公司、奥地利 EVG 公司,国内生产商主要有中电科 45 所、中 科院光电技术研究所等。
  投影光刻机自 20 世纪 70 年代中后期开始替代接触\接近式光刻机,是先进 集成电路大批量制造中的唯一光刻形式。早期的投影光刻机的掩模版与衬底 图形尺寸比例为 1:1,通过扫描方式完成整个衬底的曝光过程。随着集成电 路特征尺寸的不断缩小和衬底尺寸的增大,缩小倍率的步进重复光刻机问世, 替代了图形比例为 1:1的扫描光刻方式。当集成电路图形特征尺寸小于 0.25μm 时,由于集成电路集成度的进一步提高,芯片面积更大,要求一次 曝光的面积增大,促使更为先进的步进扫描光刻机问世。通过配置不同的曝 光光源,步进扫描技术可支撑不同的工艺技术节点,从 KrF248mm、ArF193mm、ArF193mm 浸没式,直至EUV光刻。在 0.18μm 工艺节点后, 高端光刻机厂商基本采用步进扫描技术,并一直沿用至今。
  投影光刻机的基本分辨率 R=K1*λ/NA,其中 K1 为工艺因子,根据衍射成像 原理,其理论极限值是 0.25;NA 为光刻机成像物镜的数值孔径;λ 为所使 用的光源的波长。提高投影光刻机分辨率的理论和工程途径是增大数值孔径NA,缩减波长 λ,减小 K1。
  采用 ArF 干法曝光方式最大支持65nm成像分辨率,45nm 以下及更高成像 分辨率无法满足,故而需要引入浸没式光刻方法。浸没式光刻方法通过将镜 头像方下表面与圆片上表面之间充满液体(通常是折射率为 1.44 的超纯水), 从而提升了成像系统的有效数值孔径(NA=1.35)。采用 ArF 浸没式光刻技术, 考虑光刻物理极限的限制和设备的实际工作能力,其最小分辨率可实现 38nm。为了实现更小的工艺线宽(CD)要求,目前通过采用多重图形技术 (Multi-pattern Technology)可以支撑至 7nm 节点工艺。
  为了提高光刻分辨率,在采用准分子光源后进一步缩短曝光波长,引入波长 10~14mm 的极紫外光 EUV 作为曝光光源。EUV 光刻机研发难度及费用极 大,英特尔、三星和台积电都曾对光刻机龙头 ASML 投资,以支持 EUV 光 刻设备研发,并希望取得EUV设备的优先权。ASML 从事 EUV 光刻机的研 制已是第 12个年头了,甚于"十年磨一剑"。2017 年,姗姗来迟的 EUV 光刻机终于进入了量产阶段。
  2.2.2、光刻机竞争格局
  步进扫描投影光刻机的主要生产厂商包括 ASML(荷兰)、尼康(日本)、佳能(日 本)和 SMEE (中国)。ASML 于 2001 年推出了TWINSCAN系列步进扫描光 刻机,采用双工件台系统架构,可以有效提高设备产出率,已成为应用最为 广泛的高端光刻机。ASML在光刻机领域一骑绝尘,一家独占全球 70%以上 的市场份额。国内厂商上海微电子 (SMEE)研制的 90nm 高端步进扫描投影 光刻机已完成整机集成测试,并在客户生产线上进行了工艺试验。
  2.3、晶圆制造设备——刻蚀机
  2.3.1、刻蚀原理及分类
  刻蚀是使用化学或者物理方法有选择地从硅片表面去除不需要材料的过程。 通常的晶圆加工流程中,刻蚀工艺位于光刻工艺之后,有图形的光刻胶层在 刻蚀中不会受到腐蚀源的显著侵蚀,从而完成图形转移的工艺步骤。
  刻蚀分为湿法刻蚀和干法刻蚀两种。早期普遍采用的是湿法刻蚀,但由于其 在线宽控制及刻蚀方向性等多方面的局限,3μm 之后的工艺大多采用干法刻 蚀,湿法刻蚀仅用于某些特殊材料层的去除和残留物的清洗。
  干法刻蚀也称等离子刻蚀。干法刻蚀是指使用气态的化学刻蚀剂(Etchant) 与圆片上的材料发生反应,以刻蚀掉需去除的部分材料并形成可挥发性的反 应生成物,然后将其抽离反应腔的过程。刻蚀剂通常直接或间接地产生于刻 蚀气体的等离子体,所以干法刻蚀也称等离子体刻蚀。
  等离子体刻蚀机可以根据等离子体产生和控制技术的不同而大致分为两大 类,即电容耦合等离子体(capacitively coupled plasma,CCP)刻蚀机和 电感耦合等离子体(Inductively coupled plasma,ICP)刻蚀机。在集成电 路生产线上,等离子体刻蚀设备通常按照被刻蚀材料的种类分为硅刻蚀设备、 金属刻蚀设备和电介质刻蚀设备三大类。
  CCP 刻蚀机主要用于电介质材料的刻蚀工艺,如逻辑芯片工艺前段的栅侧墙 和硬掩模刻蚀,中段的接触孔刻蚀,后段的镶嵌式和铝垫刻蚀等,以及在 3D 闪存芯片工艺(以氮化硅/氧化硅结构为例)中的深槽、深孔和连线接触孔的刻 蚀等。
  ICP 刻蚀机主要用于硅刻蚀和金属刻蚀,包括对硅浅沟槽(STI)、锗(Ge)、多 晶硅栅结构、金属栅结构、应变硅(Strained-Si)、金属导线、金属焊垫(Pad)、 镶嵌式刻蚀金属硬掩模和多重成像(Multiple Patteming)技术中的多道工序的 刻蚀等。另外,随着三维集成电路(3D IC)、CMOS 图像传感器(CIS)和微机 电系统(MEMS)的兴起,以及硅通孔(TSV)、大尺寸斜孔槽和不同形貌的深 硅刻蚀应用的快速增加,多个厂商推出了专为这些应用而开发的刻蚀设备。
  随着工艺要求的专门化、精细化,刻蚀设备的多样化,以及新型材料的应用, 上述分类方法已变得越来越模糊。除了集成电路制造领域,等离子体刻蚀还 被广泛用于 LED、MEMS 及光通信等领域。
  2.3.2、刻蚀机行业发展趋势及竞争格局
  随着芯片集成度的不断提高,生产工艺越来越复杂,刻蚀在整个生产流程中 的比重也呈上升趋势。因此,刻蚀机支出在生产线设备总支出中的比重也在 增加。而刻蚀机按刻蚀材料细分后的增长速度,则根据工艺技术的发展阶段 不同呈现此消彼长的状况。例如,当 0.13μm 工艺的铜互连技术出现时,金 属刻蚀设备的占比大幅下降,而介质刻蚀设备的占比大幅上升;30nm 之后 的工艺中出现的多重图像技术及越来越多的软刻蚀应用,则使得硅刻蚀设备 的占比快速增加。
  国际巨头泛林集团、东京电子、应用材料均实现了硅刻蚀、介质刻蚀、金属 刻蚀的全覆盖,占据了全球干法刻蚀机市场的80%以上份额。国内厂商中微 半导体在介质刻蚀领域较强,其产品已在包括台积电、海力士、中芯国际等芯片生产商的 20 多条生产线上实现了量产;5nm 等离子体蚀刻机已成功通 过台积电验证,将用于全球首条 5nm 工艺生产线;同时已切入 TSV 硅通孔 刻蚀和金属硬掩膜刻蚀领域。北方华创在硅刻蚀和金属刻蚀领域较强,其 55/65nm 硅刻蚀机已成为中芯国际 Baseline 机台,28nm硅刻蚀机进入产业 化阶段,14nm 硅刻蚀机正在产线验证中,金属硬掩膜刻蚀机攻破 28-14nm 制程。
  2.4、晶圆制造设备——薄膜生长设备
  2.4.1、薄膜生长设备分类
  采用物理或化学方法是物质(原材料)附着于衬底材料表面的过程即为薄膜 生长。薄膜生长广泛用于集成电路、先进封装、发光二极管、MEMS、功率 器件、平板显示等领域。
  根据工作原理的不同,集成电路薄膜沉积可分为物理气相沉积(PVD)、化学气相沉积(CVD)和外延三大类。
  2.4.2、薄膜生长设备竞争格局
  PVD领域,AMAT 一家独大,约占全球市场份额的 80%以上;CVD 领域, AMAT、LAM、TEL 三家约占全球市场份额的70%以上。国内设备厂商中北 方华创薄膜设备产品种类最多,目前其 28nm 硬掩膜 PVD 已实现销售,铜 互连 PVD、14nm 硬掩膜 PVD、Al PVD、LPCVD、ALD 设备已进入产线验 证。中微半导体的 MOCVD在国内已实现国产替代。沈阳拓荆的 65nm PECVD 已实现销售。
  2.5、晶圆制造设备——扩散及离子注入设备
  在集成电路制造过程中,掺杂主要有扩散和离子注入两种工艺,扩散属于高
  温工艺,而离子注入工艺属于低温工艺。
  扩散工艺是向硅材料中引人杂质的一种传统方法,控制圆片衬底中主要载流 子的类型、浓度和分布区域,进而控制衬底的导电性和导电类型。扩散工艺 设备简单,扩散速率快,掺杂浓度高,但扩散温度高,扩散浓度分布控制困 难,难以实现选择性扩散。
  离子注入工艺是指使具有一定能量的带电粒子(离子)高速轰击硅衬底并将其 注入硅衬底的过程。离子注入能够在较低的温度下,可选择的杂质种类多, 掺杂剂量控制准确,可以向浅表层引人杂质,但设备昂贵,大剂量掺杂耗时 较长,存在隧道效应和注人损伤。
  2.5.1、扩散炉分类及竞争格局
  扩散炉广泛用于分立器件、电力电子、光电器件和光导纤维等行业的扩散、 氧化、退火、合金等工艺中,因此按照功能不同,有时也称扩散炉为退火炉、 氧化炉。扩散炉主要分为卧式扩散炉和立式扩散炉。
  卧式扩散炉是一种在圆片直径小于 200mm 的集成电路扩散工艺中大量使用 的热处理设备,其特点是加热炉体、反应管及承载圆片的石英舟(Quartz Boat) 均呈水平放置,因而具有片间均匀性好的工艺特点。
  2.5.2、离子注入机分类及竞争格局
  离子注入机是集成电路装备中较为复杂的设备之,根据注入离子的能量和剂 量的不同,离子注入机大体分为低能大束流离子注入机、中束流离子注入机 和高能离子注入机 3种类型。其中,低能大束流离子注入机是目前占有率最 高的注入机,适用于大剂量及浅结注入,如源漏极扩展区注入、源漏极注入、 栅极掺杂以及预非晶化注入等多种工艺。中束流离子注入机可应用于半导体 制造中的沟道、阱和源漏极等多种工艺。高能离子注入机在逻辑、存储、成 像器件、功率器件等领域应用广泛。
  2.6、晶圆制造设备——湿法设备
  湿法工艺是指在集成电路制造过程中需要使用化学药液的工艺,主要有湿法清洗、化学机械抛光和电镀三大类。
  2.6.1、湿法清洗机
  湿法清洗是指针对不同的工艺需求,采用特定的化学药液和去离子水,对圆 片表面进行无损伤清洗,以去除集成电路制造过程中的颗粒、自然氧化层、 有机物、金属污染、牺牲层、抛光残留物等物质。
  清洗机主要分为槽式清洗机和单圆片清洗机。槽式清洗技术是由美国无线电 公司(RCA)于 1970 年提出的,它是通过多个化学槽体、去离子水槽体和干 燥槽体的配合使用,完成圆片清洗工艺。
  随着 28nm 及更先进工艺的湿法清洗对圆片表面小颗粒的数量及刻蚀均匀性 的要求越来越高,同时必须达到图形无损干燥。而槽式圆片清洗机的槽体内 部化学药液的差异性、干燥方式,以及与圆片接触点过多,导致无法满足这 些工艺需求,现已逐渐被单圆片清洗机取代,目前槽式圆片清洗机在整个清 洗流程中约占 20%的步骤。
  槽式圆片清洗机主要厂商有日本的迪恩士(SCREEN)、东京电子(Tokyo Electron)和 JET,三家约占全球 75%以上的市场份额。韩国的SEMES和 KCTECH 主要供给韩国市场。
  单圆片清洗设机主要厂商有日本的迪恩士、东京电子和美国泛林集团提供, 三家约占全球 70%以上的市场份额。在国内的单圆片湿法设备厂商中,盛美 半导体独家开发的空间交变相位移(SAPS)兆声波清洗设备和时序气穴振荡 控制(TEBO)兆声波清洗设备已经成功进入韩国及中国的集成电路生产线并 用于大规模生产。北方华创的清洗机也成功进入中芯国际生产线。
  2.6.2、化学机械抛光设备
  化学机械抛光(CMP)是指圆片表面材料与研磨液发生化学反应时,在研磨 头下压力的作用下进行抛光,使圆片表面平坦化的过程。圆片表面材料包括 多晶硅、二氧化硅、金属钨、金属铜等,与之相对应的是不同种类的研磨液。
  化学机械抛光能够将整个圆片高低起伏的表面研磨成一致的厚度,是一种圆 片全局性的平坦化工艺。
  CMP工艺在芯片制造中的应用包括浅沟槽隔离平坦化(STI CMP)、多晶硅平 坦化(Poly CMP)、层间介质平坦化(ILD CMP)、金属间介质平坦化(IMDCMP)、 铜互连平坦化(Cu CMP)。
  CMP设备主要分为两部分,即抛光部分和清洗部分。抛光部分由 4 部分组 成,即 3 个抛光转盘和一个圆片装卸载模块。清洗部分负责圆片的清洗和甩 干,实现圆片的"干进干出"。
  CMP设备主要生产商有美国 AMAT 和日本 Ebara,其中 AMAT 约占 CMP 设备市场 60%的份额,Ebara 约占 20%的份额。国内 CMP 设备的主要研发 单位有天津华海清科和中电科 45所,其中华海清科的抛光机已在中芯国际 生产线上试用。
  2.6.3、电镀设备
  电镀是指在集成电路制造过程中,用于加工芯片之间互连金属线所采用的电 化学金属沉积。随着集成电路制造工艺的不断发展,目前电镀已经不限于铜 线的沉积,还涉及锡、锡银合金、镍等金属的沉+积,但金属铜的沉积仍是 其中最主要的部分。
  2.7、晶圆制造设备——工艺检测设备
  工艺检测设备是应用于工艺过程中的测量类设备和缺陷(含颗粒)检查类设备 的统称。集成电路芯片制造工艺流程中在线使用的工艺检测设备种类繁多, 应用于前段芯片制造工艺的主要检测设备分为:圆片表面的颗粒和残留异物 检查;薄膜材料的厚度和物理常数的测量;圆片在制造过程中关键尺寸(CD) 和形貌结构的参数测量;套刻对准的偏差测量。
  随着芯片结构的不断细微化和工艺的不断复杂化,工艺检测设备在先进的前 段生产线中起着越来越重要的作用。目前工艺检测设备投资占整个前端工艺 设备总投资的 10%~15%。
  工艺检测设备的供应商主要有科磊半导体、应用材料、日立高新等,国内厂 商主要有上海睿励科学仪器和深圳中科飞测科技。
  2.8、封装测试设备
  根据 SEMI 数据,2017 年全球封装测试设备市场高速增长 27.89%,销售额达 到 83.1 亿美元。2017 年中国大陆半导体封装测试设备与封装模具市场增长了 18.6%,达到 206.1 亿元,约为30.53亿美元(按统计局 2017 年度平均汇率计 笲:1 美元=6.75 元),其中封装设备市场 14 亿美元,测试设备与封装模具市 场为 16.53亿美元。2017 年国内半导体设备市场规模为 82.3 亿美元,封装测 试设备占比超过 1/3,达到 37.1%。
  2.9、启示:各类产品均呈现寡头竞争格局
  通过上文对全球设备龙头的梳理,我们发现:每大类设备市场中,最终都形 成了寡头竞争的格局,前三名厂商占据了绝大部分的市场份额,呈现强者恒 强大者恒大的特点。
  3、龙头篇:他山之石,研发+并购铸就龙头(简略,详见原文档)
  3.1、ASML:光刻机龙头,一骑绝尘
  3.1.1、核心产品:光刻机
  ASML是全球光刻机绝对龙头。1984 年,ASML 由飞利浦与先进半导体材料 国际(ASMI)合资成立,总部位于荷兰;1995 年在阿姆斯特丹和纳斯达克 交易所上市;2012 年开展客户联合投资创新项目,三星、英特尔和台积电 共同向 ASML 注资加速开发 EUV;2017年公司 EUV 光刻机量产出货。
  3.2、AMAT:五项第一,近乎全能
  3.2.1、核心产品:PVD+CVD+刻蚀+离子注入+湿法+检测
  AMAT(应用材料)是全球薄膜生长设备龙头。AMAT 创建于 1967 年,1972 年 10 月 1 日在美国纳斯达克上市,1992 年成为全球最大的半导体设备制造 商,并蝉联这一头衔至今。AMAT 通过数次并购活动,不断扩充产品线,基 本涵盖了半导体前道制造的主要设备,包括原子层沉积 ALD、物理气相沉积 PVD、化学气相沉积CVD、刻蚀 ETCH、离子注入、快速热处理RTP、化 学机械抛光 CMP、电镀、测量和圆片检测设备等。
  3.3、Lam Research:刻蚀机龙头,CVD 第三
  3.3.1、核心产品:刻蚀+CVD
  Lam Research(泛林集团、科林研发、拉姆研究)是全球刻蚀设备龙头, 成立于 1980 年,总部位于美国加利福尼亚州,1984 年 5 月在纳斯达克上市。1997年 3 月,2.25 亿美元收购了CMP设备制造商 OnTrak Systems Inc。 2006 年,收购了Bullen Semiconductor。2008 年,收购了 SEZ AG。2012 年,以 33 亿美元收购了Novellus Systems。
  3.4、TEL:四项第二,涂布/显影第一
  3.4.1、核心产品:刻蚀机+CVD+涂布/显影+扩散炉+清洗
  TEL(东京电子)于 1963 年在日本东京成立;1968 年,与 Thermco Products Corp 合作开始生产半导体设备;1980 年,在东京证券交易所上市;1983 年,与美国公司拉姆研究合作,引进当时一流的美国技术,在日本本土开始 生产刻蚀机。目前公司主要产品包括半导体设备和平板显示设备,半导体设 备又包括刻蚀机、CVD、涂布/显影机和清洗机等。2017 年 TEL 的涂布/显 影机销售额约占全球 87%的市场份额,全球第一;刻蚀机约占全球 26%的 市场份额,全球第二;CVD 约占全球 20%的市场份额,全球第二;氧化扩散炉约占全球 20%的市场份额,全球第二;清洗机约占全球 20%的市场份 额,全球第二。
  3.5、KLA-Tencor:过程检测设备龙头
  3.5.1、核心产品:过程检测设备
  KLA-Tencor(科磊半导体、科天半导体)是全球过程检测设备龙头,1976 年成立于美国加州硅谷。1997 年收购 Tencor,原 KLA 专注于缺陷检测解决 方案,而 Tencor 则致力于量测解决方案。合并后的 KLA-Tencor 凭借其良 好的现金流大肆进行收购,扩充 KLA-Tencor 的产品组合,不断强化公司的 竞争优势。目前,公司在检测与量测领域拥有 70%以上的市场占有率,全球 第一。
  3.6、SCREEN:湿法设备龙头
  3.6.1、核心产品:清洗机
  SCREEN(迪恩士、斯库林、网屏)是全球清洗机龙头,成立于 1943 年, 总部位于日本。公司产品主要包括半导体设备、显示设备、PCB 设备等。半 导体设备产品主要有清洗机、蚀刻、显影/涂布等,其中清洗机约占全球50%以上的市场份额,全球第一。2017 年,单晶圆清洗机销售额占全球 39%市 场份额,全球第一;分批式清洗机约占全球 49%的市场份额,全球第一;spin scrubber 清洗机约占全球 69%的市场份额,全球第一。
  3.7、ASMPT:封装设备龙头
  3.7.1、核心产品:封装设备+SMT 设备
  ASMPT(ASM 太平洋科技、先域)是全球最大的封装和 SMT 设备供应商, 总部位于新加坡,于 1975年在香港从代理模塑料及封装模具起家,并于 1989 年在香港上市。公司主要产品包括封装设备、SMT 设备和封装材料,其中封 装设备约占全球 25%的市场份额,全球第一;SMT 设备约占全球 22%的市 场份额,全球第一;封装材料约占全球 8.8%的市场份额,全球第三。
  3.8、Teradyne:测试设备龙头
  3.8.1、核心产品:自动测试机(ATE)
  Teradyne(泰瑞达)是全球测试机龙头,创立于 1960 年,总部位于美国马 萨诸塞州。1970,在纽交所上市;2001 年,收购 GenRad 电路板测试业务。2008,收购 Eagle Test,闪存测试市场;收购 Nextest Systems,加强公 司模拟测试业务;2011,收购 LitePoint;2015,收购 Danish company Universal Robots。2019 年 1 月,宣布收购大功率半导体测试设备供应商Lemsys。公司主要产品包括自动测试机和工业机器人。自动测试机约占全 球 45%的市场份额,全球第一。
  3.9、启示:研发+并购,成就龙头之道
  通过上文对全球设备龙头的梳理,我们发现:每个龙头在成长过程中都进行 了多次的并购,通过并购扩充产品线、加强协同作用,提高市场占有率。此 外,半导体设备是一个高科技行业,研发能力以及研发投入在公司成长过程 中起到决定性的作用。
  4、国产篇:自主可控,国产设备厂商梳理
  4.1、北方华创:国内硅刻蚀机、PVD龙头,产品丰富加速成长
  4.1.1、北京电控集团旗下两家公司强强合并
  北方华创是由七星电子和北方微电子战略重组而成,重组前七星电子和北方 微电子同隶属于北京电控,而北京电控由北京市电子工业办公室转制而来, 是北京市国资委授权的以电子信息产业为主业的国有特大型高科技产业集 团。目前,北京电控旗下拥有京东方、北方华创和电子城 3 家上市公司。
  七星电子和北方微电子同属半导体前道制造工艺流程的设备制造厂商,两家 公司在生产研发、供应链管理、软件平台建设、客户维护等诸多方面具有共 通性。通过整合业务,公司有效的提高了资源的使用效率,提升了整体服务 能力,提高了公司市场竞争力。2017 年,公司形成了半导体装备、真空装 备、新能源锂电装备、精密元器件四大业务群。
  4.2、至纯科技:国内高纯工艺龙头,半导体清洗设备值 得期待
  至纯科技是国内高纯工艺龙头,于 2000 年在上海成立。2005 年以前,公司 主要以工程分包为主,客户较为分散。2005 年至 2008 年,公司在高纯度 工艺系统方面有了一定优势,主要客户是一些医药和光伏公司。2008 年至 2011 年,公司加大研发的投入,将公司的核心技术与工艺提升至优秀水平。2011年至今,公司形成了多元化的客户结构,并大力发展半导体业务。2017 年 8 月,公司收购珐成制药59.13%的股权,增强了公司医药设备制造能力。 2018 年 3 月,公司收购了上海波汇100%的股权,拓展了光传感系统和光 电元气件的相关相关业务,有利于公司的发展,提高了公司产品竞争力。
  4.3、精测电子:国内面板测试设备龙头,向 IC 检测设备 延伸
  精测电子是检测设备领域的龙头企业,成立于 2006 年 4 月,总部位于武汉。 公司于 2016年在深交所 IPO 上市。公司主营业务集中于检测设备这一细分 领域,是显示屏领域的稀缺标的。公司主营产品包括模组检测系统、面板检 测系统、OLED检测系统、AOI 光学检测系统、Touch Panel 检测系统和平 板显示自动化设备。
  4.4、长川科技:国内测试设备龙头,内生外延成长可期
  4.5、晶盛机电:国内单晶炉龙头,受益硅片国产化
  公司是国内领先的专业从事晶体生长、加工装备研发制造和蓝宝石材料生产 的高新技术企业。主营产品为全自动单晶生长炉、多晶硅铸锭炉、区熔硅单 晶炉、单晶硅滚圆机、单晶硅截断机、全自动硅片抛光机、双面研磨机、单 晶硅棒切磨复合加工一体机、多晶硅块研磨一体机、叠片机、蓝宝石晶锭、 蓝宝石晶片、LED 灯具自动化生产线等。公司产品主要应用于太阳能光伏、 集成电路、LED、工业 4.0 等领域。
  4.4.1、2018 前三季度营收高增长,研发投入增加导致净利率下降
  公司成立于 2008 年 4 月,2012 年承担了 2 项国家科技重大专项的研究开发工 作。公司于 2017年 4 月 17 日在深交所创业板挂牌上市,成为国内集成电路封 测设备行业首家上市公司。公司主要为集成电路封装测试企业、晶圆制造企业、 芯片设计企业等提供测试设备,目前公司主要产品包括测试机和分选机。
  公司 2018Q1-Q3 实现营收 1.72亿元,同比增长 73.86%;归母净利润3223万元,同比增长 27.32%。公司发布 2018 年业绩快报,公司实现营业收入21,612.15万元,同比增长 20.20%;营业利润3,425.43万元,同比下降 36.40%;归属于上市公司股东的净利润3,653.93万元,同比下降 27.29%。
  4.6、中微半导体:国内介质刻蚀机龙头,有望登陆科创 板
  中微半导体成立于 2004 年 5 月 31 日,股东包括大基金、上海科创投、华登 国际、美国高通、中金等。公司产品主要包括介质刻蚀设备、硅通孔刻蚀设 备和MOCVD设备,均已成功进入海内外重要客户供应体系。目前,MOCVD 设备在国内市场占有率达 70%,成为全球MOCVD设备领域的两强之一。
  4.7、上海微电子:国内光刻机龙头,有望登陆科创板
  上海微电子(SMEE)是国内光刻机龙头,于 2002 年在上海成立;2008 年 11 月,十五光刻机重大科技专项通过了国家科技部组织的验收;2009 年 12 月 首台先进封装光刻机产品SSB500/10A交付用户。2018 年 5 月 11 日,SMEE 第 100 台国产高端光刻机交付产线。公司产品广泛应用于集成电路前道、先 进封装、FPD 面板、MEMS、LED、Power Devices 等制造领域。
  4.8、盛美半导体:国内湿法设备龙头
  盛美半导体(ACM)是国内湿法设备龙头,于1998年在美国成立,2006 年设立盛美上海,开发 SAPS 兆声波清洗技术;2017 年在美国纳斯达克成 功上市。公司主要产品为清洗机,截止到2017年,盛美总共销售了 30 多 台清洗设备,客户包括海力士、长江存储、中芯国际、上海华力、JECT 等。

牛年A股跌幅榜回顾,第一名跌83。24,1228股跌10以上在牛年(2021年2月18日至2022年1月28日),A股市场三大指数的表现可谓是遭遇了熊市,全年沪指累计下跌了8。03,深证成指创业板指分别下跌16。5014。79,个股方面,两最有尊严赚钱的地方在哪里?在投资市场呆的久了和工厂的职工白领领导打交道多了,深深的感悟到,股市是中国最能靠自己的本事和认知,比较有尊严的赚到钱的地方。不管是上班儿也好,做生意也好。总是需要看同事领导眼色,被东数西算重点受益股01情绪分析整体看,上周情绪在保利联合断板之后,出现剧烈震荡,神一天鬼一天的,机会不易把握,预计本周仍将维持这种状态。指数方面,在银行等权重的带领下悄然突破20日均线,如果未来两天许多高校已经取消了会计专业,现在的会计行业真的要被取代了吗?许多高校已经取消了会计专业,并不是会计行业真的要被取代,只是因为会计学专业是我国高等院校中开设专业最多的专业,也是一个目前就业层次层次不会受到严峻考验和挑战的一个专业。我是陕西省目80后明星创业者茅侃侃自杀的背后,资本的力量多强大?茅侃侃,曾是80后创业者的标杆式人物。他与李想高燃戴志康被媒体冠以京城IT四少的美名,21岁时,茅侃侃创办游戏公司MaJoy,将网络游戏搬到线下,采用实景由玩家扮演的方式,这家公司国庆假期网联平台处理跨机构交易140。69亿笔7。60万亿元中国经济周刊经济网讯(记者周琦)10月8日,中国经济周刊记者从网联清算有限公司获悉,2021年国庆节假期(10月1日10月7日),网联平台共处理资金类跨机构网络支付交易140。69创业到底有多难,如果重新选择,你会选择哪行?创业难不难,不是取决于你选择了哪一行,因为哪一行都不好干!而是取决于你选择怎么干!因为方法不对,努力白费!1,创业的初心是什么?如果是为了赚钱,对不起,想赚钱的人太多了,可能还轮不美团被罚款34。42亿元,仅是美团一年销售额的百分之三吗?美团有难八方点赞,销售额并不等于利润营收,去年美团的的国内销售额是1148。48亿元,美团去年赚到手的净利润是47。1亿元,所以这次罚款销售额百分之三34。42亿元真不是小数字,占比特币这么明显的骗局,为什么这么多人炒作?我来讲两个,从来没有人谈到过的理由。近些年随着比特币价值不断创新高,相信比特币价值的人,也越来越多。一个问题也越来越明显。既然这么多人说这是骗局,为什么这么长时间,价格越来越高。第为什么这么多股神分享自己的经验,教人学炒股,他们图什么?说白了就是在股市赚不到钱了,通过发视频,让大家点赞和关注,积累人气,赚取平台流量,从而获得报酬,这是稳赚不赔的,假如他的经验真能在股市赚大钱的话,他就不出来说了,自己闷声发大财了。总投资11。6亿,正定又一项目开工啦!正定高新区又一项目开工啦!日前河北橡一医药科技股份有限公司医药包装新材料工业园项目正式开工建设为我县高质量发展再添新动能医药包装新材料工业园项目据介绍,河北橡一医药科技股份有限公司
魏小安别急着宣判拈花湾文旅神话破灭本文经魏小安授权发布最近,看到网上和媒体都在说拈花湾,概称为文旅神话的破灭,事情就是负债13亿,单季度亏损5500万,出售20的股权。作为追踪研究灵山集团20多年的专家,看到这个事自来水管道清洗与家电清洗的不同?提到自主创业,不知道大家脑子里浮现出的创业项目是哪个?奶茶店加盟?餐饮加盟?家电清洗加盟?这些创业本身也是还不错的,除了家电清洗外,其他两种的加盟费会比较昂贵,可能会达到几万元。家涨了!多地水泥价格上涨5060元,熟料价格同步上涨30元近期全国多地水泥行情维持涨势,西南华北地区止跌回升,同时原材成本维持高位,叠加限电环保等因素,熟料价格也开启上涨节奏。一全国水泥价格指数一览截至8月9日,百年建筑网全国水泥价格指数在财报亏损收窄的二季度,蔚来准备杀入大众市场了8月12日早上,蔚来发布了2021年第二季度财报。数据显示,蔚来二季度营收为84。5亿元,同比大幅增长127。2,超出市场预期。现金储备丰厚,达到483。2亿元二季度净亏损额为5。房贷可以提前还吗?掐准这3个时间点,能省不少钱在房价的重压之下,现在大多数人都是通过银行抵押贷款来买房,通过贷款买房的确可以减轻很多压力,但每个月定期向银行还款,也让大家很难承受。于是一些购房者就在想,能不能提前将贷款还完呢?银行若宣布破产,储户能得到赔付吗?购买理财产品的客户例外引言根据他们的财务报告表明,今年的首季度之中,这六家银行一共赚得了3318亿元,如果平均划算下来,那么一天就能赚到36。87亿。我国的银行一直都发展得很好,不论是什么时候都是如此。宁德时代582亿定增来袭,影响到底有多大?(解读)宁德时代为什么要推出582亿定增?582亿到底有多大?对市场有何影响?8月12日晚间,全球锂电池龙头企业宁德时代披露的定增预案显示,公司拟向不超过35名特定对象定增不超过本次发行前七月份乘用车市场分析合资品牌持续下跌,自主品牌逆势增长据乘联会最新零售销量数据统计,7月份国内狭义乘用车市场销量达150万辆,同比下降6。2,环比下降4。917月份累计销量1144。5万辆,同比增长22。9,较16月增速下降了6。在如2021年上半年福建省商品零售额9282。2亿元,增长17。4来源中工网据福建省商务厅官网消息,2021年上半年,福建省实现社会消费品零售总额10150。5亿元,同比增长17。9,较2019年同期增长11。5,两年平均增长5。6,高于全国1。李嘉诚再次出手回购股份,他总是反市场操作,难怪他可成隐形首富提到李嘉诚,许多人都说他是世界隐形首富。李嘉诚自己也说了他的财富被低估了,从10年之前就至少低估了二分之一。以李嘉诚沉稳个性,他能够说这样的话,说明他的财富肯定是被大大低估了。最近黄光裕声称国美要自建物流,做梦还是做戏?激流勇进,还是草草收场?正解局原创2021年2月16日,52岁的黄光裕正式获释,在高管会上,略显发福的昔日首富发出力争在未来18个月时间内恢复原有市场地位的豪言壮志。而作为以创新开